CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - rs232 vhdl

搜索资源列表

  1. RS232_TxD_source_code

    0下载:
  2. RS232 Transmitter VHDL Code
  3. 所属分类:Project Design

    • 发布日期:2017-04-11
    • 文件大小:841
    • 提供者:mohd
  1. TopLevelRS232

    0下载:
  2. TopLevel Rs232 VHDL code
  3. 所属分类:Project Design

    • 发布日期:2017-04-12
    • 文件大小:1399
    • 提供者:mohd
  1. Rs232Rxd

    0下载:
  2. Rs232 Receiver VHDL code
  3. 所属分类:Project Design

    • 发布日期:2017-04-01
    • 文件大小:1113
    • 提供者:mohd
  1. async_transmitter

    0下载:
  2. 该程序为RS232串口通信的VERILOG程序,在FPGA上已通过验证,在测试范围内误码率为0-The program for the RS232 serial port communications VERILOG procedures, the FPGA has been validated in the test range of bit error rate is 0
  3. 所属分类:Communication

    • 发布日期:2017-03-26
    • 文件大小:996
    • 提供者:su
  1. CPLD_KEYBOARD

    0下载:
  2. 本设计是用VHDL语言来实现的基于RS232按位串行通信总线的行列式矩阵键盘接口电路,具有复位和串行数据的接收与发送功能,根据发光二极管led0—led2的显示状态可判断芯片的工作情况;实现所有电路功能的程序均是在美国 ALTERA公司生产的具有现场可编程功能的芯片EPM7128SLC84-15上调试通过的。该电路的设计贴近生活,实用性强,制成芯片后可作为一般的PC机键盘与主机的接口使用。 -The design is based on VHDL language to achieve
  3. 所属分类:Project Design

    • 发布日期:2017-04-05
    • 文件大小:67450
    • 提供者:jalon
搜珍网 www.dssz.com